CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - pseudo random sequence generator

搜索资源列表

  1. m-sequence.rar

    1下载:
  2. 伪随机序列产生器源代码,Pseudo-random sequence generator source code
  3. 所属分类:matlab

    • 发布日期:2017-03-23
    • 文件大小:2807
    • 提供者:谭硕
  1. localRand

    0下载:
  2. 常用随机数发生器,C实现 /* * 文件包含了6个函数,它们能产生符合相应分布的规律的随机数: * GenUniformRnd : 产生一个随机数,符合均匀分布。(伪随机序列) * GenBernoulliRnd : 产生一个随机数,符合伯努利分布。 * GenBinomialRnd : 产生一个随机数,符合二项分布。 * GenPoissonRnd : 产生一个随机数,符合泊松分布。 * GenExponentRnd : 产生一个随机数,符合指数分布。
  3. 所属分类:Other systems

    • 发布日期:2017-04-04
    • 文件大小:1139
    • 提供者:lo
  1. lfsr

    0下载:
  2. 伪随机序列产生器-线性反馈移位寄存器,Verilog HDL 原代码。-Pseudo-random sequence generator- linear feedback shift register, Verilog HDL source code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-22
    • 文件大小:883
    • 提供者:李辛
  1. 123dfa23

    0下载:
  2. M伪随机序列生成器算法 这个Matlab算法用于生成二元、三元及五级的,m序列。可以用于直接扩频通信等 系统中的,伪随机序列生成器。-M pseudo-random sequence generator algorithm for the Matlab algorithm is used to generate binary, ternary, and five of the, m sequence. Can be used to direct spread spectrum commu
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-06
    • 文件大小:3681
    • 提供者:adu
  1. LFSR

    1下载:
  2. verilog实现的8阶伪随机序列发生器,文件包含了三种主要模块:控制模块,ROM模块,线性反馈移位寄存器(LFSR)模块。已经通过modelsim仿真验证。-verilog to achieve 8-order pseudo-random sequence generator, the file contains three main modules: control module, ROM modules, a linear feedback shift register (LFSR) mo
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-23
    • 文件大小:870339
    • 提供者:风影
  1. LFSR

    0下载:
  2. 伪随机序列产生器,线性反馈移位寄存器,原代码。-Pseudo-random sequence generator, linear feedback shift register, the original code.
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-29
    • 文件大小:163107
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1403
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,verilog hdl 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, verilog hdl original code.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:2054
    • 提供者:李辛
  1. fcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator- on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-04-02
    • 文件大小:1183
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-filtered 代进位反馈移位寄存器,matlab 原代码。-Pseudo-random sequence generator-filtered on behalf of binary feedback shift register, matlab source code.
  3. 所属分类:matlab

    • 发布日期:2017-03-29
    • 文件大小:1392
    • 提供者:李辛
  1. ffcsr

    0下载:
  2. 伪随机序列产生器-代进位反馈移位寄存器,电子书。-Pseudo-random sequence generator- on behalf of binary feedback shift register, e-books.
  3. 所属分类:Windows Develop

    • 发布日期:2017-04-05
    • 文件大小:707047
    • 提供者:李辛
  1. VHDL

    0下载:
  2. 8*8乘法器设计 伪随机序列发生器 PS2键盘设计 均为VHDL-8* 8 multiplier design of pseudo-random sequence generator are PS2 keyboard design VHDL
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-26
    • 文件大小:2404
    • 提供者:qiumh
  1. asdfasdf

    0下载:
  2. M伪随机序列生成器算法 这个Matlab算法用于生成二元、三元及五级的,m序列。可以用于直接扩频通信等 系统中的,伪随机序列生成器。-M pseudo-random sequence generator algorithm for the Matlab algorithm is used to generate binary, ternary, and five of the, m sequence. Can be used to direct spread spectrum commu
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-30
    • 文件大小:3681
    • 提供者:adu
  1. random

    0下载:
  2. 产生符合一定分布的随机数的方法是,先产生(0,1)均匀分布的随机数,然后通过一个适当的变换方法得到所要求分布的随机数。常用的均匀分布伪随机序列发生器有线性同余发生器和线性反馈移位寄存器两种。-That meet certain distribution of the random number is first generated (0,1) uniformly distributed random number, then an appropriate transformation metho
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-27
    • 文件大小:709
    • 提供者:wswfx
  1. randHe

    0下载:
  2. 基于Henon映射的混沌伪随机序列发生器,用MATLAB7.1实现-Henon mapping based on Chaotic Pseudo-random sequence generator, to achieve with MATLAB7.1
  3. 所属分类:matlab

    • 发布日期:2017-04-04
    • 文件大小:700
    • 提供者:谭德
  1. chaosushuchaxun

    0下载:
  2. 利用一种伪随机数生成的新方法 ———超素数法,在单片机的P1.6口产生周期为498的伪随机序列。-Pseudo-random number generator using a new method--- law of prime numbers, generated in the microcontroller ports P1.6 period of 498 pseudo-random sequence.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:14633
    • 提供者:wangliping
  1. pseudo-random-sequence-generator-

    0下载:
  2. 利用FPGA编程--- -实现“伪随机序列发生器设计”-FPGA programming------- pseudo-random sequence generator design
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:317564
    • 提供者:初昀
  1. Pseudo-random-sequence-generator

    0下载:
  2. 通过MATLAB的SIMULINK模型设计,实现伪随机数的序列发生器,并通过DSP BUILDER中的SIGNAL COMPILER转换成QuartusII工程,并实现硬件的下载。-Through the MATLAB SIMULINK model design, realization of pseudo random sequence generator, and through the DSP BUILDER of SIGNAL COMPILER converted into Quartu
  3. 所属分类:DSP program

    • 发布日期:2017-03-29
    • 文件大小:532769
    • 提供者:高丽红
  1. random

    0下载:
  2. 8位伪随机序列发生器。在通信加扰,序列检测中有很强的工程应用-8 pseudo-random sequence generator. In communications scrambling sequence detection has a strong engineering applications
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:726
    • 提供者:王建军
  1. Pseudo-Random

    0下载:
  2. Pseudo Random Sequence Generator Code and Tutor
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-25
    • 文件大小:15296
    • 提供者:NGGanesh
« 12 3 »
搜珍网 www.dssz.com